]> arthur.barton.de Git - ngircd-alex.git/commitdiff
Fix compiler warning "comparision between signed and unsigned".
authorAlexander Barton <alex@barton.de>
Sun, 26 Jun 2005 13:43:59 +0000 (13:43 +0000)
committerAlexander Barton <alex@barton.de>
Sun, 26 Jun 2005 13:43:59 +0000 (13:43 +0000)
src/ngircd/conn.c

index c04327e84ea6ee0f2487ac3a8e63c017f5e0883c..627c6402b0520e0e148b014825f8de0ffc720a03 100644 (file)
@@ -16,7 +16,7 @@
 
 #include "portab.h"
 
-static char UNUSED id[] = "$Id: conn.c,v 1.154 2005/06/12 17:21:46 fw Exp $";
+static char UNUSED id[] = "$Id: conn.c,v 1.155 2005/06/26 13:43:59 alex Exp $";
 
 #include "imp.h"
 #include <assert.h>
@@ -1600,7 +1600,7 @@ try_resolve:
 #ifdef IDENTAUTH
                                /* clean up buffer for IDENT result */
                                len = strlen( s->buffer ) + 1;
-                               assert(len <= sizeof( s->buffer ));
+                               assert((size_t)len <= sizeof( s->buffer ));
                                memmove( s->buffer, s->buffer + len, sizeof( s->buffer ) - len );
                                assert(len <= s->bufpos );
                                s->bufpos -= len;